VHDL编程彩灯控制电路

VHDL编程彩灯控制电路

72 4.4
37 2021-04-28
pdf | 130KB | 3页
正文 简介
随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革,文章介绍了以VHDL为基础的彩灯控制电路程序及仿真波形。
*温馨提示:该数据为用户自主上传分享,如有侵权请举报或联系客服:400-823-1298处理。
jc_zzt***
jc_zzt***
服务: -
数据量: 5
人气: -
擅长:土建 装饰 园林 电气

您可能感兴趣

原价: 100 积分
立即购买